Back to home page

EIC code displayed by LXR

 
 

    


File indexing completed on 2024-09-27 07:02:58

0001 // SPDX-License-Identifier: LGPL-3.0-or-later
0002 // Copyright (C) 2023, Simon Gardner
0003 
0004 #pragma once
0005 
0006 namespace eicrecon {
0007   struct FarDetectorLinearProjectionConfig {
0008 
0009     std::vector<float> plane_position = {0.0, 0.0, 0.0};
0010     std::vector<float> plane_a        = {0.0, 1.0, 0.0};
0011     std::vector<float> plane_b        = {0.0, 0.0, 1.0};
0012 
0013   };
0014 }